bit_array_length


bit_array_length(b)
      

Return the number of bits in the bit array b.

Examples:


bit_array_length(#b[1, 0, 0, 1, 1])
// 5
      

Also see:

count


Core Module Index | Contents