fladd/sub/mult/div/mod


fladd(fl1, @rest ...)
flsub(fl1, @rest ...) 
flmult(fl1, @rest ...) 
fldiv(fl1, fl2) 
flmod(fl1, fl2) 
      

Return the result of performing the arithmetic operation on fl1, ... (or fl1 and fl2). Raise an error if an argument is not a flonum.

Examples:


fladd(12.2, 34.1)
// 46.3

fldiv(10., 3.)
// 3.3333333333333335

flmod(10., 3.)
// 1.
      

Also see:

flround
flmin


Core Module Index | Contents